IRT Nanoelec Annual General Meeting on “Achievements & Ambitions”

IRT Nanoelec held its Annual General Meeting on March 5. The topic was “IRT Nanoelec: Achievements & Ambitions.” With seven years of experience behind us, this year’s Annual General Meeting provided a valuable opportunity to bring all IRT Nanoelec program partners together to review our achievements and present a selection of our results. However, we… Lire la suite » ... Read more »

Prophesee joins IRT Nanoelec consortium

A new partner entered the IRT Nanoelec consortium in June 2019: Prophesee Prophesee develops imaging systems based on event detection. The company’s technology, initially developed for research in physics and medicine, is inspired by the human eye and brain and powers imagers and an artificial intelligence solution for objects and machines. Like the human retina,… Lire la suite » ... Read more »

RnDynEO training module on Lean management for R&D now available

The official launch party for the RnDynEO training module was held on February 12, 2019 at Grenoble-EM Labs. Around 60 people, mainly from STMicroelectronics, Grenoble Ecole de Management business school, Grenoble Institute of Technology, and IRT Nanoelec and also from the CEA, UDIMEC, and the Grenoble Chamber of Commerce, attended. Highlights of the evening included… Lire la suite » ... Read more »

Results of IRT Nanoelec photonics program presented at Photonics West

IRT Nanoelec gave a presentation and a paper on its silicon photonics research at Photonics West (February 2–7, 2019 in San Francisco), the world’s leading photonics-industry event. The presentation highlighted the excellent results (1 dB of optical losses) achieved in recent research on nanostructured network photonic chip-fiber coupling: “Fiber grating coupler development for Si-photonics process… Lire la suite » ... Read more »

IRT Nanoelec at Semi 3D Summit 2019

The 7th Semi 3D Summit took place on January 28–30, 2019, bringing in nearly 200 attendees once again! The event is the perfect place to catch up on the latest advances in 3D integration and advanced packaging from research organizations and industrial R&D centers. The IRT Nanoelec 3D integration program presented its latest advances and, most… Lire la suite » ... Read more »

European Commission Director-General for Research and Innovation tours ESRF BM05 platform

On January 8, 2019, the European Photon & Neutron Science Campus welcomed Jean-Eric Paquet, the European Commission’s Director-General for Research and Innovation. Mr. Paquet came to the campus to learn more about Grenoble’s academic and industrial ecosystems and tour the Synchrotron (ESRF) and the European neutron science facilities at ILL. ESRF Research Director Jean Susini… Lire la suite » ... Read more »

New book on the state of the art in microprocessor attacks

Leti research scientists and IRT Nanoelec PULSE program participants Olivier Savry, Thomas Hiscock, and Mustapha El Majihi recently published a book on hardware security. The new book (published in French) delivers a comprehensive review of the vulnerabilities affecting the very hardware (processors, of course, but also memory, programs, and peripheral devices) upon which our electronic… Lire la suite » ... Read more »

IRT Nanoelec at IROS 2018 Conference

The 2018 IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS) was held on October 1–5, 2018 in Madrid. IROS is the world’s largest robotics event, bringing in more than 4,000 attendees, including academic research scientists and industrial R&D engineers. IRT Nanoelec was an active contributor to several highlights of the conference: – A full-day… Lire la suite » ... Read more »

IRT Nanoelec research at IEDM 2018

A paper on research conducted under the IRT Nanoelec 3D integration program was selected for the 64th IEEE International Electron Devices Meeting (IEDM) held on December 1–5, 2018 in San Francisco. Each year, scientists and industrial R&D professionals come to IEDM, known as one of the most selective conferences in the world, to share their… Lire la suite » ... Read more »

Harmony prototype is the world’s first 3D-integrated 1.44 µm pitch image sensor

Over the past five years, 3D integration has gradually become the new standard for low-power image sensors. The idea is to fabricate a CMOS processing circuit and an image sensor in parallel, and then to assemble them as closely as possible. Using 3D integration, the image sensor can effectively be given its own processing (HDR… Lire la suite » ... Read more »